智慧應用 影音
工研院
ST Microsite
日本半導體復興大業的三支箭
如同十多年前的日本安倍經濟學的三支箭,以拯救日本長期的通縮、振興經濟,提升日本的競爭力。在1980~90年代,曾是世界第一的日本半導體產業,經歷失落的30年,最近不約而同地射出了三支箭,希望能一舉扭轉目前的頹勢。東京大學的黑田忠廣教授,甚至稱之為「熱水中被煮著的青蛙,突然間跳了起來。」是哪三支箭要來振興日本的半導體產業?第一支箭就是日積電(JASM),台積電的熊本廠;第二支箭是日本政府主導,結合幾家日本重要企業,在北海道設立的Rapidus,直接切入2奈米的製程;第三支箭就是台積電在日本筑波,設立的3DIC先進封裝的實驗室,與東京大學及日本材料及設備廠商合作。這三支箭都需要仰賴外國的技術及資源,日本輿論將此比擬為,在19世紀幕府時代的「黑船事件」。黑船事件開啟日本與西方世界的交流,明治維新接著發生,一舉讓日本進入世界強國之林。這三支箭分別都有其目的,而合起來就成為日本半導體的復興大業。首先,日本長期以來未持續投資在半導體先進製程,因此製程技術停留在40奈米。日積電的任務就是要填補28~16奈米的空缺,並且配合到日本產業所需的車用IC及影像顯示IC。第二支箭就有很大的爭議了。在沒有任何先進製程的學習曲線支撐下,直接切入2奈米,現階段三星電子(Samsung Electronics)及英特爾(Intel)都做不到,這豈不是癡人說夢?雖然有美國IBM及歐洲Imec的技術轉移,包括EUV技術,但是研究機構的技術,相對於要實現高集成度的IC,仍有一段相當的距離。日本是如何盤算第二支箭?原來由16到3奈米,使用的是魚鰭式電晶體(FinFET),到了2奈米電晶體就須改為GAA(gate all around)或稱為nano-sheet。與其由16奈米切入,需要建立FinFET的學習曲線,在後頭苦苦追趕,倒不如孤注一擲,直接進入下一個世代的電晶體。雖然離台積電仍有段距離,但是不會輸三星及英特爾太遠。這隻箭是大膽的,但不失為好的策略。第三支箭就含有長期的戰略意義了。3DIC不只是先進製程需要,成熟製程所製作的IC也是需要的。如果說摩爾定律是半導體元件尺度的微縮,那3DIC就是電子系統尺度的微縮了。這平台提供將各式小晶片(chiplet)密集的堆疊,造就系統特性上的提升。日本優異的半導體材料及設備供應產業,更是強化3DIC技術的重要基石。當日本在80年代末期,自詡在許多產業上創下全球第一,尤其是石原慎太郎及Sony創辦人盛田昭夫合著的《可以說NO的日本》,徹底地激怒美國,開始對日本輸美的半導體設限,並扶植南韓。那個時期個人正在美國當研究生,有回遇到來自日本的半導體教授。當他知道我來自於台灣時,趾高氣昂地問我,「你知不知道日本統治台灣多少年?」。相似的場景在2000年後,我參與一個半導體國際會議的籌辦,當與會的委員都希望日本能多貢獻投稿的論文。日本的代表面有難色地說「我們已經不是世界第一了,甚至連亞洲第一都說不上」。日本並沒有像美國,大剌剌地要台積電將最先進的製程搬到美國,而是反求諸己,邀請台積電的成熟製程來日本設廠,而先進的製程想辦法自己解決。充分地表現出東方文明克己復禮的美德,另一方面也維持住日本民族的自尊心。我個人對於日本文化中的職人精神,是打從心底的佩服。有回在日本參加光電半導體研討會,當時在報告單波長的半導體雷射研究,用於長距離的光纖通訊。要實現單波長,需要在雷射底部製作一精密的長條形光柵結構,以選擇所需要的雷射波長,當時這是個相當挑戰的工作。日本的研究人員不是只做1條,而是連續做3條,在一個元件上產生3個不同波長的單波長半導體雷射。我在台下看得目瞪口呆,久久無法平復。1960年代末期日本經由美國的授權,已逐漸在半導體產業站穩腳步,當時的美國Richard Nixon曾警告過,「日本是個有文化的民族,絕對不會滿足於只銷售電晶體」。這三支箭涵蓋成熟製程、先進製程及先進封裝,若能支支中的則復興大業可期。我相信第一支及第三支是會命中目標,第二支箭的難度較高。但是在日本既有文化底蘊的加持下,第二支箭命中的機率還是有的。
別鬧了! 8奈米
好笑的是這條中央社發的消息持續被其他媒體引用,引發後續討論。我以為台灣是半導體之域,媒體至少有起碼的半導體ABC知識。別鬧了,8nm!這個訊息內容內容有不一致的地方,氟化氬(ArF)雷射的波長是193nm,氟化氪(KrF)雷射的波長才是248nm。從另外2個數據來看,248nm幾個字比較有可能是誤植。用氟化氬雷射當光源,乾式(dry)曝光機一般的分辨率(resolution)在80~90nm左右,浸潤式(immersion)曝光機一般的分辨率在38~40nm左右。公布的數值在兩者之間,我猜是乾式的曝光機再加上已知的可以改善光學系統的諸種手段。這裡講的分辨率,一般是指單次曝光(single exposure)所能達到的最小尺度。資料中的另一組「套刻精度小於8nm」則是引起此次無妄之議的罪魁禍首。兩岸譯名有所不同,曝光機在中國叫光刻機,而套刻精度在英文中是overlay accuracy ,指的是上下2層光罩層對準(align)可能產生的最大誤差,這與能用此曝光機能做出何種技術節點的能力完全不是一回事,但是套刻精度只有8nm的曝光機,肯定做不到8nm的製程也是鐵錚錚的事實。上述的訊息對我來說,只是中國的曝光機能力已進入以準分子雷射(excimer laser)為光源的第一代曝光機,如果其表現真如其規格所述,這算是改良過的第一代DUV曝光機。再進一步發展是浸潤式氟化氬曝光機(ArF immersion lithography)。雖然水的折射率1.333理論上可以提升機器設備的許多規格,但是由於運作機制存有主要變化,發展所需時間可能較長。更進一步是極紫外光曝光機(EUV lithography),這個有些難。畢竟現在ASML的極紫外光曝光機是DARPA於90年代就開始研發的。即使以現在的技術和後發者的知識可以縮短開發時程,但是EUV的光源產生和光學系統與DUV完全不同,多費些手腳也是理所當然。所以中微半導體董事長尹志堯說,中國的機器設備與客戶群處在技術領先位置的國外廠商相比,還差了兩、三代是確評。至於晶圓製造廠的製程能力呢?分辨率只是曝光機台本身的能力,製程中還有其他眾多手段可以改進在晶圓上最終圖案化(patterning)的能力,其中最為人知的手段是多重曝光(multiple exposure)如曝光蝕刻曝光蝕刻(Litho-Etch Litho-Etch;LELE)、間隔物輔助雙圖案化(Spacer-Assisted Double Patterning;SADP)、光刻冷凍曝光蝕刻(Litho-Freeze Litho-Etch;LFLE)等方法;也有行之有年光學鄰近校正(Optical Proximity Correction;OPC)等方法。例如氟化氬浸潤式曝光機的單次曝光分辨率在38~40nm左右,經過上述方法的處理晶圓上的最小尺寸可以精確到10~12nm。中國早已進口氟化氬浸潤式曝光機,台積電可以用以製造7nm製程,中國當然也可以,良率高低和時間早晚而已。至於更先進的製程節點也並非全無可能,也是良率、成本和產能的問題。所以中國半導體製程的能力問題,根植於其先進製程設備的自製率,其弱勢是在曝光機、離子植入機(ion implanter)和電子束檢測系統(e-beam testing system),其中曝光機的自製能力自然最受矚目。如何跨越外在設下的限制?除了沿外界已經發生過的EUV研發路徑之外,奈米壓印(nanoimprint)可能是一個途徑。奈米壓印已經應用於3D NAND的量產,機台的分辨率在5nm左右,只是它的晶圓產量(wafer throughput)不高。但是它的機台單價較低,目前解決方式就是以機台數量來彌補產能。在DRAM與邏輯的應用上,奈米壓印在良率還有所不足,得改善如顆粒等問題。奈米壓印機中國已有了,問題也是要花多長時間才追得上世界技術前沿? 
金融信心的崩壞—普華永道與恒大事件
2001年安隆(Enron)事件發生時,我正在倫敦結束我募資路演(fundraising roadshow)的定價(pricing),聽到這消息有如平地驚雷,還存了一絲僥倖。僥倖的是幸好訂價已經完成,募資到手,但絕稱不上圓滿,因為想在長久的資本市場中運作,得要讓投資的人留有合理的獲利餘裕。定價如果定在最高點,募資方佔了便宜,但也肯定會燙了投資人的手,恐怕以後就別想再進出資本市場了。 安隆事件後股市下跌,剛買海外存託憑證(Global Depositary Receipt;GDR)的客戶怕是要抱怨了。 回來之後,立即在DIGITIMES專欄為文表達關切。事情也正如預期的有立即衝擊,而且餘波盪漾,之後2年內因為安隆事件的影響股市大跌2、3次。當時五大會計師事務所的龍頭安達信(Arthur & Anderson)集團自此煙消雲散,五大變成四大。  9月13日全球四大會計事務所之一的普華永道(Price Waterhouse Cooper;PwC)因捲入恆大集團財務數據造假風暴,遭中國大陸財政部和證監會合計重罰人民幣4.41億元;中國財政部並給予以普華永道警告、暫停經營業務6個月、撤銷普華永道廣州分所的行政處罰。  普華永道現在於全球四大會計師事務所中,全球市佔率是第二位,約32%,在中國市場中卻是龍頭,其中國的營業額佔全世界營業額在大致在5~10%,因年份而異。在中國市場因所受處分因而遭受的直接財務損失也許在普華永道可以承受的範圍內,但是報導中也提及受普華永道未如實揭露恆大財務狀況而受影響的機構或個人可能發起集體訟訴,這個可能的風險就無法估算了。  恆大與安隆在其尖峰時期的市值其實相若,都是數百億美元的公司。但是恆大的負債超過2兆人民幣,是全世界負債金額較大的公司之一,其坍塌所外溢的影響對於整體經濟的打擊要大得多。  遭遇到這類的事件,後續的各方反應也很典型。首先,出事事務所集團的法遵(compliance)部門會就此一事件本身調查。對於相鄰地域、類似產業等有較高風險的客戶也會徹底盤查,先期排雷。  至於政府的監管部門,除了對出事的公司及會計師事務所調查懲處外,接下來的大致是透過立法手段,對於會計及審計規則施加更嚴格的規定—這些亡羊補牢的措施需要時間來研究、修訂。實施之後因為可使用財務操作空間受到限縮,有些公司會承受不住,繼續爆雷。這也解釋為什麼安隆事件發生後還餘波盪漾不斷。  那麼一個房產公司的坍蹋與電子或半導體產業有什麼關係?產業市場各異,的確關係不太,但是底層的金融財務是相通的。財務金融的穩定性在於公正第三方的審計簽證所產生的信賴。一旦信賴喪失了,金融市場就得動盪一陣子。在那次募資之後,我們的會計師事務所恰好原先屬於安普達集團。安普達的解體、重新整併也著實讓我們兵荒馬亂了一陣子。  至於此次的恆大事件會怎樣影響金融世界?只能期待中國股市與其他股市的連動沒有那麼強烈,風浪小些。至於普華永道的變動以及它怎麼影響其他產業的廠商?再看看吧!  
物聯網醫療復健機
中風是全球導致死亡和致殘的主要原因之一,其中由運動障礙引起的功能性殘疾是中風後常見的問題。約60%的中風患者在中風後失去行走能力,20%的患者在1年後仍無法獨立行走。恢復行走能力在中風康復中至關重要,直接影響患者的生活品質。傳統的物理治療和職能治療計畫,歷來支持中風後的神經和功能恢復,但結果往往難以預測。為改進康復策略,機器人輔助步態訓練(Robot-assisted gait training;RAGT)這種創新方法應運而生,專注於改善行走能力。RAGT透過重複特定任務,促進運動學習和功能改進。這項技術使患者能夠參與高強度的訓練,例如在10分鐘內完成300步,減少依賴物理治療師。結合物聯網技術,RAGT進一步強化中風後的神經和功能恢復。RAGT有2種方法:末端效應器法和外骨骼法。在末端效應器法中,患者的腳放在足板上,模擬步態的站立和擺動階段;在外骨骼法中,外骨骼裝置透過驅動裝置,在擺動階段彎曲髖部和膝蓋,並配合跑步機模擬站立階段。綜合研究深入探討這些方法的臨床、技術和監管層面的應用,為臨床醫生提供了有關機器人康復潛在恢復機制的寶貴見解。被動訓練模式和重力補償功能為處於急性或亞急性階段的中風患者提供早期康復,使他們能夠專注於運動控制。透過病歷查閱,收集參與者特徵、中風細節和合併症信息,同時透過腦部CT或MRI提供病變位置和中風類型的信息。為評估治療前日常生活活動(ADLs)的獨立性,須對病人進行多項評估,包括Berg平衡量表(BBS)、Brunnstrom階段、匹茲堡睡眠品質指數、Fugl-Meyer下肢功能評估(FMA-LE)和總分(FMA-total)。在慢性中風患者的研究中,常使用POMA的行動性能評估來衡量平衡和步態,並使用BBS來測量姿勢控制和平衡。這些參數在評估和定制康復干預計畫以達到最佳結果。下肢運動功能主要涉及平衡和步態,這些元素是相互關聯的,在中風後經常下降。BBS以其在衡量平衡功能中的高信度和重測信度而著稱,特別適用於中風倖存者的評估。步態分析是下肢運動功能的重要評估工具,推薦用於評估和增強中風後的行走能力。結合BBS和步態分析可全面評估平衡和步態,作為衡量康復干預效果的重要參數。這一綜合方法提供了對患者在恢復行動能力和執行日常任務進展的全面理解。利用物聯網技術,我們在中國醫藥大學開發出一套系統MRGtalk,這是一款針對中風及神經障礙引起的下肢康復的應用輔助前端系統,提升老年人的肢體活動和身體健康。MRGtalk使用普適計算(Pervasive Computing)進行下肢康復,強調肌肉力量的改善和行走能力的增強,包含:1. 增強感官反饋的三點支撐設計促進全負重的站立踏步訓練;2.根據個人能力,可通過具有網頁瀏覽器的固定或移動設備遠程調整訓練參數(步長、頻率、軌跡和持續時間);3.多患者獨立訓練模式優化時間和精力的使用。作為應用輔助前端,MRGtalk輸出關鍵的訓練參數。臨床實驗顯示,其在改善中風患者的下肢肌肉功能、平衡和行走能力方面具有良好效果。MRGtalk簡化RAGT過程,減少了治療師提供手動輔助的需求,是資通訊技術運用於復健的一個典範。
1兆個電晶體的半導體新紀元
兩周前SEMICON Taiwan在台北舉行,這個年度盛會聚集全球各地重要的半導體廠商及菁英,共同探討半導體未來的新技術及產業趨勢,這其中最吸睛是對於未來兩個「兆」(trillion)的預測。第一個兆是大家比較耳熟能詳的,半導體的市場規模,會由現在的6,000多億美元,成長到2030年的破兆美元。台灣2023年的GDP是7,551億美元。第二個會破兆的是單一封裝晶片的電晶體數目會超越1兆,目前的紀錄是NVIDIA Blackwell架構GPU內涵1,040億個電晶體,使用台積電4奈米的製程。所以要破兆,還需要10倍的成長。在1980年代,我們所探討單一晶片電晶體的數目是百萬級(million),而2000年初來到10億級(billion),又過了20年現在是兆級(trillion)。10倍的成長在半導體界是司空見慣不足為奇,但是以10倍速度的成長且經歷過50年,幾乎所有可能的方法及創新的技術都用到了極限,所以兆級電晶體的最後一哩路將會是備極艱辛。位於比利時的Imec成立40年,是全球半導體相關先進技術最重要的研究機構,舉凡FinFET、EUV、nano-sheet FET等,都是其領先提出並且實現。由於其中立的立場,以及擁有先進設備及優越的人才,吸引全球大廠進駐與其合作,因此被稱為是半導體界的瑞士,所以由Imec來說明兆級電晶體的實現是最恰當不過的。Imec在會場自家舉辦的論壇中提出CMOS 2.0的概念,也就是實現兆級電晶體所需的創新思維及技術。這除了要持續微縮電晶體的尺寸,也就是more Moore;另外還需要先進的封裝技術來配合,這就是more than Moore了。台積電已經量產3奈米製程,即將進入的2奈米,電晶體的架構會由FinFET進入到GAA(gate all around)也就是nano-sheet電晶體。但是要持續進入到1奈米以下,CMOS電晶體的架構要做結構性改變。我們都知道CMOS(complementary MOS)是由nMOS及pMOS組合而成,由最原始的平面式(planar) CMOS到FinFET以至於GAA,2個nMOS及pMOS一直都是並排在同一平面。但是到了1奈米以下,為了更進一步的微縮,nMOS及pMOS必須要上下堆疊而非並排。也因為是上下堆疊可視為是一個電晶體,所以被稱為是CFET。可以用堆疊方法做出1個CFET,同樣的方法就可以做出2個以上CFET的堆疊,這樣兆級電晶體的晶圓不就可以實現了?其實不然,這還要許多尖端工藝來配合。要做到1奈米等級的曝光顯影,需要使用高數值孔徑(NA=0.55)的EUV,此EUV造價不斐需要3億美元。另外,上兆個電晶體的耗電會輕易地超過1,000瓦,為了節省電力的消耗,研究人員提出晶圓背面供電的方法。現行的晶圓不論訊號或者電源都是由晶圓上方所提供,所以電力需要經過十幾層的金屬往下,才會到達最下方需要電力來運作的電晶體。這就如同提了一桶水,走山路到到山頂去澆水,山路是愈走愈窄,好不容易到了山頂,可能只剩下半桶的水。直接由晶圓背面供電,是個立竿見影節省電力消耗的良方。台積電在A16製程(1.6奈米)將開始使用此背面供電技術,但是該如何實現?這需要晶圓鍵結技術(wafer to wafer bonding),包括bumpless技術。也就是將提供背面供電的電路製作在另一片晶圓上,然後與磨薄後主晶片的背面對準並鍵結,使兩片晶圓結合為一體,這個程序需要在真空下加溫及加機械力,而晶圓間的鍵結是依賴凡德瓦爾力(van der Waals force)來完成。這個技術在30多年前,我在美國當研究生時就已經發展,當時隔壁實驗室正從事MEMS的研究,需要製作一個微小的空腔,因此手工組裝一套半導體晶圓鍵結設備。沒想到當初這套技術,如今成為實現兆級電晶體的利器。既使有了更省電的CFET及晶圓背面供電技術,然而上兆個電晶體仍舊會產生相當的熱,需要從有限的面積內帶走。Imec研究人員製作液態冷卻的微流道,將冷液體引入到晶圓表面的熱點,而將熱帶走的熱液體,由不同的流道引出,並在外部做熱交換。此微流道相當的複雜,需要將冷熱液體分流,這很難用傳統的機械加工來完成,而3D列印技術克服這個困難。半導體的晶圓技術總是不斷地,在面對問題及解決問題的循環中匍匐前進。過往多依賴電晶體結構及晶圓製作技術來完成,現今先進封裝甚至散熱技術會扮演愈來愈重要的角色。此次SEMICON Taiwan所揭櫫的兩個兆的目標,我們相信是會達成的。
矽光子的發展與挑戰(四):產業挑戰
矽基光子整合線路概念肇始於1985年,在1991、1992年時於SOI(Silicon-On-Insulator)晶圓上,展示低光子損失的波導。90年代初期的先進製程大致落在0.6~0.8微米之間,這還是6吋廠的年代。這個臨界尺度比現在大部分的光子元件都大,那時若有比較成熟的光子元件與PIC(光子整合線路),和電子元件與EIC(電子整合線路)的整合是有說服力的,因為做出的光子元件尺寸與電子元件尺寸不會相差過大。  但是現在矽光子才開始要啟動量產階段。現在矽光子所要開啟的時代叫大尺度整合(LSI;Large-Scale Integration),其定義是一個晶片上的光子元件數目在500~10,000個之間。下一個階段的超大規模尺度整合(VLSI;Very Large-Scale Integration),亦即光子元件數目大於10,000個的整合晶片。熟悉電子積體電路的讀者看到這個數目想必會啞然失笑,現在較先進的半導體產品其門數(gate count)動輒上千億乃至於兆以上, LSI上光子元件數目真的見小了。  晶片上光子元件的數目如此受限,其癥結在於光子元件的尺度取決於矽的透明波長及折射率,結果就在毫米尺度範圍。以任何PIC一定會用得著的波導來看,最小的波導寛220~500奈米、高220~300奈米之間,長度則從微米到毫米。其它的光子元件,如MHI、感測器的面積從幾百微米平方至幾毫米平方不等,其他的調制器也都在這個數量級。  除了光子元件本身所佔的空間之外,光子元件之間為避免互相干擾必須留有的間距,其實比光子元件本身更大。所以光子元件未來面臨的第一個挑戰就是利用PIC設計、材料與結構創新以縮小光子元件的尺寸。  一個晶片上容許的光子元件太少很難執行複雜的功能,幸好目前的LSI大致可以滿足當下迫切需要的短、中距通訊應用需求。  第二個問題仍然是尺度的問題。PIC與EIC二者尺寸之間相差幾個階秩,這就造成單晶片整合(monolithic integration)中PIC與EIC難以匹配的問題。  舉例來說,格羅方德(GlobalFoundries;GF)矽光子代工平台使用12吋45奈米SOI晶圓。對於EIC來講,45奈米也許是適合的製程平台,但是SOI晶圓的價格比常規的12吋晶圓價格是倍數的昂貴;對於PIC而言,用12吋45奈米製程是大材小用,單只是PIC的話,8吋的製程足矣。何況對於目前的目標應用AI伺服器上的短、中距離通訊,高速、寬頻、低功耗的需求是顯而易見的,滿足這些需求可能需要至少22奈米才能製造的FinFET。EIC與PIC的相容性益發緊張。  幸好先進封裝也同時在此時興盛,這使得矽光子元件的整合變得有彈性,選項包括2.5D封裝、3D封裝、異質整合(heterogeneous integration)等。  以目前即將進入量產的大型平行光學元件(LPO;Large Parallel Optics)以及聯合封裝光學元件(CPO;Co-Packaged Optics)為例,二者都是以2.5D先進封裝的方式來整合EIC及PIC,以達到低延遲(latency)、低功耗以及其他的優點。  另一個問題是生態發展。矽光子元件整個產業鏈生態面臨的問題之一,是來自於光子元件的多樣化。  電子的EIC主要構成分子就是電晶體。雖然電晶體實際上還是有不同的種類、具有不同的特性,譬如邏輯線路的電晶體比較注重快速開關(switch)以提高運算效能;而DRAM線路的電晶體比較留意漏電流(leakage current),以延長資訊保留時間(retention time)。但是即使電晶體的特性是有些不同,電晶體做為積體線路架構的基本單元是毋庸置疑的。  但是PIC的狀況完全不同,尤其是負責編碼光子訊息的調制器,種類繁多。又由於現在一個晶片上光子元件數目還在可控範圍之內,PIC設計工程師比較有機會去選擇元件並調整其參數藉以優化整體PIC的效能,也就是設計工程師看起來更像元件工程師(device engineer)。這使得晶片上調制器看起來五花八門,也在未來代工平台的製程標準化平添一些小障礙。  另外的問題還有做為PIC代工產業的輔助生態架構問題,包括EDA、IP、PDK(Process Design Kit)、整合元件測試等問題。這些問題在矽光子代工過去做的比較久的GF著墨比較多,對於即將進入量產的其他公司應該也不會造成太大的障礙,畢竟這些都是在以前EIC代工業務發展過程都經歷過的。 AI興起之後,預計晶片與晶片之間、伺服器與伺服器之間的短、中距通訊會變成主要的通訊型態,甚至超過資料中心與終端用戶之間的通訊量。由此強大需求來驅動矽光子技術的發展以及生產體制的成熟、完善是產業界的優先之事。
矽光子的發展與挑戰 (三):光元件以及光路
光子若要能被當成訊息的載子,就至少要具備可被程式化、傳遞和感測的功能。光元件大致可分為4個範疇:光源、波導、調制器和光子感測器(PD;Photonic detector)。 光源是異於電子線路的特殊存在。在電子線路中,電子是矽材中原來就富含的物質。只需要施加電壓予以控制,就可以程式化以攜帶訊息,感測電子以提取訊息也是容易的事。但是矽在正常的狀態中並不存在光子,光子要人為製造出來—從外頭接入光源,或是在矽晶片上製造光源。 由外頭引入高功率、高效能的光源,常用的有譬如磷化銦(InP)和砷化鎵(GaAs)雷射。如果要談整合入矽光子系統,磷化銦的1,310奈米和1,550奈米波長基本上是比較合適的選擇。砷化鎵的850奈米波長在矽中會被吸收,如果要整合入矽光子的PIC中,需要用氮化矽(SiN)當波導。這會增加製程的複雜性,當然也會增加光子元件的尺寸和成本。 可以整合入矽光子製程,或者以異質整合方式進入的光源還有雷射二極體(laser diode)、發光二極體(Light-Emitting Diode;LED)、整合III-V雷射(integrated III-V laser)、量子點雷射(quantum dot laser)等,這些對於不同的應用各有優缺點。 波導是被動元件一種,意即它不用外來的能量、只靠物質本身的材料特性或元件結構就能執行導引(guiding)、分離(splitting)、組合(combing)、耦合(coupling)、過濾(filtering)、復用/解復用(demultiplexing/demultiplexing)、延遲(delay)等功能,所以波導器上也多有加上能執行以上功能的光元件,譬如加上耦合器(coupler)以與光源連接。 光在矽波導中傳遞可能會遭遇光子損失(photon loss)的問題,主要的原因是波導內壁的粗糙(roughness)問題,這是波導製程的挑戰之一。 調製器的種類繁多,這是因為前文中說的光可用來程式化以承載訊息的自由度很多。 常見的調制器有用來調製相位(phase)和振幅(amplitude)的馬赫曾德干涉儀(Mach-Zehnder Interferometer;MZI)、環形諧振調制器(ring resonator modulator)、載子耗盡調制器(carrier depletion modulator);調制振幅的電吸收調制器(Electro-Absorption Modulator;EAM);調制相位的相位調制器(phase modulator)、熱調制器(thermal modulator);調制波長與頻率的可調諧濾波器調制器(tunable filter modulator)等。 調制器基本上是主動元件,亦即需要外來的能量注入以調制光的強度(intensity)、頻率、振幅等,這些都是與能量密切相關的物理量。而且,調制的手段通常是透過電來改變物質的特性,譬如用電壓或產生熱來改變材料的折射率,進而調制光的諸種特性,這些手段都有能耗的。最後是光子感測器它的功能是將光訊號轉為電訊號,以利於進一步處理、儲存及傳送訊號。光子感測器的種類有光電二極體(photodiode)、雪崩光電二極體(avalanche photodiode)、光電倍增管(photomultiplier tube)、電荷耦合元件(Charge-Coupled Device;CCD)等,各有應用領域。 光子感測器材料包括矽、矽鍺(SiGe)以及砷化鎵銦(InGaAs)等。以目前與AI相關的矽光子應用而言,矽鍺光電二極體在波長區間、響應(responsibility)、速度和整合程度各種技術特性的綜合考量下,矽鍺光電二極體是比較合適的選擇。光子元件範疇的複雜程度以及各範疇內元件選擇的眾多,充分顯示矽光子還處於發展的早期,這對即將展開的矽光子量産構成生產製程以外的非技術挑戰。
矽光子的發展與挑戰(二):矽光子材料性質
矽光子(silicon photonics)是指在矽基半導體中,整合入可以調制光子的光子元件,在晶片中或系統中,可以同時協作電子積體線路(Electronic IC;EIC)、光子積體線路(Photonic IC;PIC)的功能。  目前已經開始量產的矽光子產品,絕大部分是用於長距離通訊的收發器(transceiver),其中包含傳送/接收電/光訊號以及轉換、處理訊息的功能元件。 延伸報導名人講堂:矽光子的發展與挑戰 (一):電子與光子 現今矽光子的急迫需求與近年來人工智慧應用的迅速興起密切相關。人工智慧的模型訓練過程中,資訊的傳遞大量集中於晶片與晶片之間、伺服器與伺服器之間。在可預測的未來,資料的傳遞超過7成以上會是這種短、中距離的通訊類型。數據流量和密度的驟增,產生大量焦耳熱,散熱遂成為半導體技術發展中最尖銳的問題。  根據原先的異質整合路線圖(Heterogeneous Integration Roadmap;HIR),矽光子應該在2020年就進入異質整合量產的時程。遲了近5年,現在終於要啟動了。  圍繞在矽基半導體討論PIC,除了矽的製程比較成熟外,自然是有矽的材料特性考量。  首先,矽對在1.1~8微米的近、中紅外(near to mid infrared)區域波長的光是透明的,也就是說紅外光在矽中可以通行無阻,不會被吸收,這是讓光子能當訊息載子的先決條件。  矽的另一大優勢在於它的高折射率(refraction index),在近紅光的波長範圍內,矽的折射率大概是3.5。這意味著—譬如常用的光纖通訊波長1,550奈米的光,在矽中只有1550/3.5=443奈米的波長,光元件尺寸可以因為高折射率的原故而大幅縮小。以前述波長光子可以通行的波導(waveguide;功用有點像電子的金屬線)為例,單模(single mode)的波導一般就定在220奈米(方形波導的截止波長(cut-off wavelength)是光的半波長)。  矽的非線性光學效應(nonlinear optical effects)也相對的比較強,譬如在近紅外區的雙光子吸收(two-photon absorption;TPA)以及自由載子吸收(free carrier absorption;FCA)。非線性光學效應通常可以用來調制光線,即矽的材料特性適合做PIC的主動元件(active device)。另外,相較於其他候選材料,它的散熱係數較高,比較適合做高功率光元件。  矽的材料當然也有缺點。第一個缺點是矽的能帶間隙不是直接能隙(direct bandgap),白話的說就是矽無法利用它的自然能隙來產生光子。所以如果要在矽晶PIC上直接做出光源,一般需要外來異質材料當成光源,譬如加入III-V族的元素以做出量子點之類的光源。  另外,有一好沒兩好。有較活潑的光學特性也意味著光在矽中傳導比較容易產生光子損失(photon loss),這也是做矽波導的主要挑戰之一。  幸好有相容於矽半導體製程材料氮化矽(SiN)可以與矽互補,這是半導體業界非常熟悉的材料。氮化矽可以用化學氣沈積法(CVD)長於晶圓之上,這是半導體的標準製程。  氮化矽的折射率較低,在1,550奈米時只有2,所以做出的光元件肯定比較大。但是它的TPA和FCA非線性光學效應都比較小,做出來的波導光子損失也比較少。  另外,氮化矽對光的透明應間自400奈米~7微米,在可見光的區間它也是透明的。這一點對有些應用至為重要,譬如生物感測器(biosensor)常常需要使用可見光波長的波段。  2種材料對照來看,矽比較適合做需要比較緊緻線路、高效能、高能耗的主動元件;氮化矽比較適合做光被動元件(passive device),譬如低光子損耗的波導、諧振器(resonator)、篩選器(filter),或者需要可見光波長、較低非線性光學效應的應用。  另外有數種材料因為它們獨特的非線性光學效應也被考慮在不同應用之中,譬如鉭酸鋰(lithium tantalate;LiTaO3)它有很強的非線性光學性質如二次諧波產生(Second-Harmonic Generation;SHG)和參量振盪(parametric oscillation)。更重要的是它有很強的電光效應(electro-optic effect;Pockels effect),可以用電場快速的調製光子,在光子計算(photon computing)的應用中,此乃天選之物。 
透過物聯網技術 搶救小豬大作戰
在豬養殖業中,仔豬死亡率是一個需要仔細處理的嚴重問題。特別是,在頭三天裡,有7.5%的仔豬會被母豬意外壓死。平均每天有1.2頭仔豬被母豬壓死。具體來說,仔豬壓死可能發生在母豬躺下或翻身時。這樣的事故更容易發生在較弱的仔豬身上,因為較弱的仔豬更有可能靠近母豬吸乳。此外,當產房溫度較低時,仔豬也會更靠近母豬保暖。因此,為了防止仔豬被母豬壓死,我們應該避免仔豬餓和受冷。或者,可以使用產房籠來限制母豬的姿勢變化,並為仔豬提供更安全的空間。當一頭仔豬被壓死時,養豬者必須在太遲之前迅速採取行動。一位技術嫻熟的養豬者可以通過仔豬的尖叫聲來檢測仔豬的死亡,並強迫母豬站起來或將被壓死的仔豬與母豬分開。然而,監控產房籠對養豬者來說是一項全天候的工作,而勞動成本過高。物聯網技術可由麥克風感應器中收集豬的聲音數據,並在仔豬被壓死時自動採取行動。我的研究團隊利用 IoTtalk 的物聯網設備管理平台,提出了 PigTalk 技術,來解決仔豬被壓死的問題。透過對產房收集的聲音數據進行即時分析,PigTalk 檢測是否有任何仔豬尖叫事件發生,並自動啟動母豬警報器進行應急處理。PigTalk利用一種音頻轉換方法來預處理原始聲音數據,並在機器學習中利用最小-最大標度化來檢測仔豬的尖叫聲。PigTalk以上述數據預處理方法與機器學習模型微妙的參數設置將仔豬尖叫檢測準確度提高到了 99.4%,比以前的解決方案(最高達 92.8%)更好。PigTalk 可以在 0.05 秒內拯救 99.93% 的仔豬。這樣的結果已在商業化的產房得到驗證。PigTalk 是一種新方法,可以自動減輕仔豬被壓死的情況,這是過去無法實現的。PigTalk提供一個遠距操控的GUI (graphical user interface),豬場飼主可用手機控制強迫母豬站起來的致動器(Actuator)。圖(a)提供的致動器包括振動地板、氣流噴射、灑水系統和電極(有些不太人道,並未真正實作)。當豬場飼主收到警報時,他/她通過手機觀看從攝像機 (圖(b)) 發送來的視頻,並可操作攝影機放大影像,清楚觀察(圖(c)) 。如果仔豬的尖叫聲不是由於被壓死引起的,那麼養豬者可以遠程停止致動器 (圖 (f))。如果確實發生了仔豬壓死,則他/她應該跑到籠子處理這個仔豬壓迫事件。養豬者可以選擇打開或關閉致動器 (圖 4 (a)),當危險情況得到緩解時停止致動器。在PigTalk中,環境致動器例如加熱燈可以手動打開/關閉 (圖 4 (d)),或者在溫度變化時自動打開/關閉 (圖 4 (e))。PigTalk搶救小豬大作戰是運用AI及物聯網技術的很好範例。關於技術的詳細信息,請參閱W. E. Chen, Y.-B. Lin, L.-X. Chen (2021, June). PigTalk: an AI-based IoT Platform for Piglet Crushing Mitigation. IEEE Transactions on Industrial Electronics, 17(6): 4345-4355。 
矽光子的發展與挑戰 (一):電子與光子
自然界基本作用力有4種,由強至弱排列:強作用(strong interaction)、電磁作用(electromagnetic interaction)、弱作用(weak interaction)以及重力作用(gravity)。20世紀以及21世紀的文明,除了核能與強作用相關外,主要是由電磁作用的應用所鋪展開來的。  電磁作用的基礎理論是電動力學(electrodynamics),馬克士威爾方程式(Maxwell’s equations)就是其中描述電磁場與電荷、電流作用的基本方程式。在現在人類文明已能處理個別粒子行徑的當下,量子現象變得格外重要。能處理量子現象的電磁學叫量子電動力學(Quantum ElectroDynamics;QED)。  QED是最基礎的理論之一,人類知識領域的最前沿。至今所有的實驗數據與QED的理論預測完全符合,實驗與理論精確度的競賽都已經較勁到小數點後12位了!說QED是人類文明堅實的柱石一點也不為過。  QED理論中有2個主角:電子與光子。前者扮演的角色比較單純,就是有質量、帶電荷、有自旋(spin)的粒子;後者除了本身是粒子外,也是產生電磁作用的中介。  電子,或者電子集體行動的電流,我們感覺上比較熟悉,是我們在材料中容易操控的物質。它們被用來當成攜帶/儲存訊息的載子(carrier)。譬如將電容上有無電荷存留的狀態,當成1或0;或者將電晶體中有無電流流過,當成1或0。控制電子狀態的手段通常是電壓,這也是電磁學中的一員。電場和磁場是光子的組成份子,但是單純的電場或磁場不能自由移動,無法當成訊息的載子。  我們習慣的電子載子操控方式是讓電子在金屬中流動,電子在金屬傳導的過程中不斷地與金屬原子晶格碰撞、產生熱能,這就是焦耳加熱(joule heating)。當摩爾定律走到原分子尺度時,金屬線愈發細微—電阻更高,而晶片要傳遞的信息量更大,焦耳熱的問題變得無所不在,從晶片內、晶片之間、系統內乃至於系統之間,任何訊息的移動都生熱量。如何降低發熱、加強散熱變成計算力進一步提升的主要挑戰。尋求另外形式的載子以避免或降低焦耳熱的產生勢在必行。 光子技術也早已應用於讀取/儲存訊息及傳遞訊息。前者如以前的光碟,後者如現在於網路的光纖通訊。但是這與近代文明的核心—半導體有相當的距離。是否有辦法整合光子入半導體的體制、承擔訊息載子的任務,成了目前的研發方向。  光子在傳遞的過程中理論上不會發熱,而且傳遞訊息速度比電子快了近100倍,這是它被考慮成另類訊息載子的首要原因,這優勢在遠距光纖通訊中已得到充分的展示。  另外,光子的自由度極為豐富。目前用光子元件調制(modulate)光以編碼(encode)光擕帶訊息的自由度有強度(intensity)、相位(phase)、頻率、方向、波長等。但其實光還有時間段(time-bin)、軌道角動量(Orbital Angular Momentum;OAM)以及極化(polarization)等自由度可以用來編碼訊息。一個光子經光元件調制後最多可以有144個狀態,這是不久前在光子的量子糾纏實驗中所展示證明地。光可以攜帶巨量資訊,但這也是目前將光納入半導體訊息處理體制的挑戰之一。  光子還有一個劣勢,就是光子和光子之間不會交互作用。本來用光子來控制、調製光子是最理想的狀況,但是由於這個因素,對於光子的調制必須透過物質來進行。特別是對於光子主動元件(active devices,能改變光子的頻率、波長、自我聚焦等效應)要以非線性光學材料(nonlinear optical materials)來組成。而這些非線性效應一般來說是作用的高階效應,作用較弱,需要以另外的手段來加強,這使得光子元件的尺寸一般都相當大。這是光子的優點所伴生的缺陷。